Intel Spills The Beans On High Tech Plans To Retake Global Chip Making Crown

Ramish Zafar

This is not investment advice. The author has no position in any of the stocks mentioned. Wccftech.com has a disclosure and ethics policy.

Eager to establish itself as the world's leading chip manufacturer, Intel is making rapid progress in introducing advanced chip-making machines in its process flow. The firm revealed earlier today that it had completed the assembly of the "industry's first" high numeric aperture chip-making machine at an R&D center in Oregon. Intel's announcement follows a press talk given by the firm earlier this week that explained the benefits of using High NA technology to make chips and how the firm aims to use the unique benefits offered by these machines to speed up its fabrication process.

Intel Aims To Put High NA Chipmaking Tools Into Production Next Year

Chip manufacturing machines, particularly the advanced equipment used by Intel and the Taiwan Semiconductor Manufacturing Company (TSMC), are made by just one firm - the Dutch ASML. Naturally, this means that as the demand for silicon products grows, chip makers rush to get their hands on this equipment.

Related Story Intel’s Next-Gen Falcon Shores GPU To Feature TDP Up To 1500W, No Air-Cooled Variant Planned

Intel took the lead with the most advanced chip manufacturing machines, namely the high numeric aperture extreme ultraviolet tools, by bringing the first machine to its facilities last year. This was also the first High NA machine that ASML had shipped to a customer, and Intel's announcement earlier today revealed that it had successfully assembled the machine as part of a 'first light' process. The firm plans to start working with long lead time items initially as it gets developmental access for the machines and starts working with the machine in Oregon.

At its press talk, Intel's director of lithography, Mark Phillips, shared the benefits that his firm expects from this equipment. According to him, since high NA allows chip makers to use less light per operation in the chip manufacturing process, it reduces the time taken to print chip layers. This reduces the overall time to print a chip wafer and speeds up the process.

Intel roadmap
screenshot-2024-04-16-at-9-17-00-pm
screenshot-2024-04-16-at-9-20-54-pm
screenshot-2024-04-16-at-9-25-00-pm
screenshot-2024-04-16-at-9-28-49-pm
screenshot-2024-04-16-at-9-30-57-pm
screenshot-2024-04-16-at-9-33-13-pm

According to Intel, 14A will be the first node that will use high NA machines to make chips. Intel also shared an updated process road map, adding that high NA allows it to improve resolution and simplify the chip manufacturing process flow by reducing the number of masks required for printing crisp circuit patterns on silicon.

Since older machines have constraints with respect to the size of the circuits that can be printed, advanced machines such as the High NA tools reduce manufacturing complexity as their ability to print smaller circuits removes the need to use additional components during chip making.

Intel also stressed that Intel's mask production, resolution enhancement techniques and defect repairing strategies will also improve the quality of products that it can produce with high NA machines. The firm is investigating ways in which molecules can self assemble themselves in patterns for assembly as part of defect reduction, while resolution enhancement for sharper printing quality includes "co-optimizing" the light with custom developed masks to "push the pattern well below the wavelength of light."

EUV machines have played a controversial role in Intel's history, with its struggles with the first such machines leading to significant delays that caused Intel to lose the leading edge chip fabrication race to TSMC. Intel explained during his talk that before the EUV era in chip making, i.e., pre 2015, Intel could depend on regular upgrades to machines and their manufacturing specifications, such as thermal management. This changed with EUV when Intel's "strategy for introducing EUV" caused problems with its production timelines.

Intel aims to avoid pushing multi patterning with low NA (0.33) EUV machines and rely on machines with a larger aperture instead. The Intel executive was also appreciative of the fact that several modules on the high NA machines, such as the source module, the wafer module, and components in the reticle module, are shared with the low NA EU machines, which makes easier integration of the new machines into the chip manufacturing flow. Intel has also worked closely with ASML to ensure that machine development timelines do not catch it off guard and help the firm adhere to its chip manufacturing process technology road map.

Intel's announcement came on the same day when ASML announced that it had shipped the second high NA system to an unidentified customer. The nature of advanced chip manufacturing coupled with the industry composition means that it is likely that either Samsung or TSMC bought this machine.

Newer machines are costly and technologically complex, so firms like Taiwan's UMC that make older chips can use older machines to remain cost effective.

Share this story

Comments